0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Modelsim uvm库编译及执行

454398 ? 来源:AI加速 ? 作者:AI加速 ? 2020-12-01 11:25 ? 次阅读
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

01. 下载modelsim软件

下载modelsim,这里用的是modelsim10.4版本。链接:https://pan.baidu.com/s/1qSZ_X9UhrII3E2N6-yOsuw

提取码:6aof

完成下载和安装,在安装文件夹中可以看到uvm-1.1d,这是我们使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,这是已经编译过的uvm库。

02. 编写文件

以“hello,world”为例,编写uvm源文件如下:

`timescale 1ns/1ps
include
"uvm_macros.svh"
import uvm_pkg::*;
module hello;
initial begin
`uvm_info("info", "Hello world!!!", UVM_LOW)
end
endmodule

然后编写一个windows下的执行程序:run.bat。

其中只有一句话:

这个就是运行modelsim脚本文件sim.do。

Sim.do文件内容如下:

第一句话是设置uvm环境变量,指定uvm的dpi位置。

第二句话是创建work工作目录。

第三句话是编译源文件,并且通过-L指定几个编译库。

第三句是执行仿真,调用uvm库uvm_dpi。

第四句话是运行多长时间:100ns。

03. 执行

双击run.bat,然后会调用modelsim进行编译和仿真。


编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ModelSim
    +关注

    关注

    5

    文章

    174

    浏览量

    48230
  • UVM
    UVM
    +关注

    关注

    0

    文章

    182

    浏览量

    19618
收藏 人收藏
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

    评论

    相关推荐
    热点推荐

    求助,关于NanoEdge AI Studio生成的交叉编译器版本疑问求解

    我使用的是NanoEdge AI Studio v4.3.1,跟着官方交教程生成了libneai.a,我通过metadata.json文件得知生成这个的交叉编译器版本是\"
    发表于 08-08 07:25

    NVMe高速传输之摆脱XDMA设计18:UVM验证平台

    抽象为 PCIeTLP 事务,因此为了方便的在事务层构建复杂的测试用例,项目基于 UVM 搭建验证平台进行功能验证。图1 验证平台架构图在验证平台中将 PCIE 集成块从待测试设计(Design
    发表于 07-31 16:39

    oracle数据恢复—oracle数据执行错误truncate命令如何恢复数据?

    oracle数据执行truncate命令导致数据丢失是一种常见情况。通常情况下,oracle数据误操作删除数据只需要通过备份恢复数据即可。也会碰到一些特殊情况,例如数据备份无法
    的头像 发表于 06-05 16:01 ?394次阅读
    oracle数据恢复—oracle数据<b class='flag-5'>库</b>误<b class='flag-5'>执行</b>错误truncate命令如何恢复数据?

    RVCT编译器是否比GNU的编译器的代码执行速度更快?

    ,是rvct3.1还是rvct4.0?如何把rvct编译器集成到ide中? 2、RVCT编译器是否比GNU的编译器的代码执行速度更快?
    发表于 05-08 07:49

    STM32CubeIDE编译设置是否有像keil一样有编译执行Bat脚本的功能和设置?

    STM32CubeIDE编译设置问题,是否有像keil一样有编译执行Bat脚本的功能和设置?或者有相关的脚本和插件?
    发表于 03-14 15:59

    【紫光同创盘古100Pro+开发板,MES2L676-100HP教程】盘古676系列——Modelsim的使用和do文件编写

    ./src/test.v第一个work表示文件夹的名称 、第二个work表示modelsim中library的的名称、第三个就是要编译的文件的路径。 vsim:表示启动仿真。 add wave:表示
    发表于 02-25 18:36

    编译系统镜像教程

    解压完成后G2L-Yocto SDK源码以后,我们需要指定编译参数才能开始给RemiPI生成对应的系统,配置脚本执行完成后将进入build-remi-1g目录下,在此目录下就可以开始构建系统。
    的头像 发表于 02-25 09:32 ?618次阅读
    <b class='flag-5'>编译</b>系统镜像教程

    Triton编译器与GPU编程的结合应用

    Triton编译器简介 Triton编译器是一种针对并行计算优化的编译器,它能够自动将高级语言代码转换为针对特定硬件优化的低级代码。Triton编译器的核心优势在于其能够识别并行模式,
    的头像 发表于 12-25 09:13 ?961次阅读

    Triton编译器如何提升编程效率

    在现代软件开发中,编译器扮演着至关重要的角色。它们不仅将高级语言代码转换为机器可执行的代码,还通过各种优化技术提升程序的性能。Triton 编译器作为一种先进的编译器,通过多种方式提升
    的头像 发表于 12-25 09:12 ?854次阅读

    Triton编译器支持的编程语言

    Triton编译器支持的编程语言主要包括以下几种: 一、主要编程语言 Python :Triton编译器通过Python接口提供了对Triton语言和编译器的访问,使得用户可以在Python环境中
    的头像 发表于 12-24 17:33 ?1071次阅读

    如何快速入门HAL编程 HAL与裸机编程的比较

    。 选择开发环境和工具 : 下载并安装适用于目标微控制器的IDE(如STM32CubeIDE)。 配置开发环境,包括编译器、调试器等。 学习HAL文档和教程 : 阅读官方HAL文档,了解HAL
    的头像 发表于 12-02 11:39 ?1300次阅读

    使用modelsim时的问题分析

    仿真对于FPGA设计来说至关重要,我们经常使用modelsim来进行功能仿真或者时序仿真,这样就需要将modelsim和设计软件(quartus ii)联系起来,下面是设计者在使用modelsim时可能会遇到的问题。
    的头像 发表于 10-24 18:15 ?1852次阅读
    使用<b class='flag-5'>modelsim</b>时的问题分析

    ida反编译出来代码能直接用吗

    一些有助于人类阅读但不影响机器执行的元信息,如注释、文档字符串以及某些编译时优化的细节。因此,反编译出来的代码可能无法完全还原原始代码的意图和结构。 二、依赖性问题 即使反编译出来的代
    的头像 发表于 09-02 10:55 ?1533次阅读

    linux开发板如何编译curl

    在Linux开发板上编译 curl 通常涉及到几个步骤,包括准备开发环境、下载源代码、配置编译选项以及执行编译和安装过程。以下是一个基本的
    的头像 发表于 08-30 15:33 ?1525次阅读

    linux驱动程序的编译方法有哪两种

    Collection)或其他C/C++编译器来编译源代码文件。这种方法较为原始,需要开发者手动指定编译器选项、包含路径、文件等。然而,在Linux驱动开发中,由于驱动需要与内核紧密
    的头像 发表于 08-30 14:39 ?1695次阅读